欢迎来到得力文库 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
得力文库 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • 3670个搜索结果:
    • EDA病房呼叫系统.doc EDA病房呼叫系统.doc

      课程设计说明书课程:EDA技术基础组号:A1组 题 目:病房呼叫系统组 员:专 业:电子信息科学与技术指导教师:2010年4月8日A1组整体设计报告目 录一课程设计思路11设计题目12设计要求13设.

      上传时间:2022-10-23   |   页数:16   |   格式:DOC   |   浏览:0

    • EDA应用技术.ppt EDA应用技术.ppt

      EDA应用技术目录目录u第第1章章 EDA技术概述技术概述u第第2章章 Protel 99 SEu第第3章章 可编程逻辑器件可编程逻辑器件u第第4章章 Quartus 软件应用软件应用u第第5章章 V.

      上传时间:2022-04-18   |   页数:978   |   格式:PPT   |   浏览:3

    • EDA技术实验教案.doc EDA技术实验教案.doc

      一课程名称:EDA技术实验二教材名称: EDA技术使用教程,潘松等编著.三本课程教学目的要求:介绍EDA的基本知识常用的EDA工具的使用方法和目标器件的结构原理VHDL设计输入方法图形和文本VHDL仿.

      上传时间:2022-11-15   |   页数:23   |   格式:DOC   |   浏览:0

    • EDA技术实验讲义.doc EDA技术实验讲义.doc

      EDA技术实验讲义第三版 杭州康芯电子有限公司www.kx配套资料使用说明一 设备配件1 电源线一根2 并口下载线一个3 十芯JTAG口线一根4 十四芯线一根5 USB下载线一根6 USB下载器一个.

      上传时间:2022-11-02   |   页数:84   |   格式:DOC   |   浏览:0

    • EDA期末试卷.doc EDA期末试卷.doc

      20102011学年第一学期期末考试试卷闭卷年级 专业 本课程名称 EDA技术基础教师出题时请勿超出边界虚线;2学生答题前将密封线外的内容填写清楚,答题不得超出密封线;3答题请用蓝黑钢笔或圆珠笔.一单.

      上传时间:2022-12-26   |   页数:11   |   格式:DOC   |   浏览:0

    • EDA设计导论学习.pptx EDA设计导论学习.pptx

      提纲:EDA技术综述PLD设计方法学HDL硬件描述语言第1页共66页1.1 EDA技术综述 集成电路ICIntegrated Circuit的发展方向:进步的主要原因:速度快容量大体积小功耗低 生产制.

      上传时间:2023-02-07   |   页数:66   |   格式:PPTX   |   浏览:0

    • EDA技术及其发展.ppt EDA技术及其发展.ppt

      题目:现代题目:现代EDA技术及其发展技术及其发展内容:内容:1.现代现代EDA技术介绍技术介绍2.硬件载体介绍硬件载体介绍3.软件以及编程语言介绍软件以及编程语言介绍现在对EDA的概念或范畴用得很宽.

      上传时间:2023-01-16   |   页数:60   |   格式:PPT   |   浏览:0

    • EDA课后习题答案.ppt EDA课后习题答案.ppt

      第第1章章 EDA技术概述技术概述 11 EDA技术与ASIC设计和FPGA开发有什么关系FPGA在ASIC设计中有什么用途答:EDA技术进行电子系统设计的最后目标,是完成专用集成电路ASIC的设计和.

      上传时间:2023-01-16   |   页数:47   |   格式:PPT   |   浏览:0

    • EDA实验开发系统.ppt EDA实验开发系统.ppt

      第5章 EDA实验开发系统第5章 EDA实验开发系统5.1 GW48型型EDA实验开发系统原理与使用介绍实验开发系统原理与使用介绍5.2 GW48实验电路结构图实验电路结构图5.3 GW48系统结构图.

      上传时间:2023-01-27   |   页数:81   |   格式:PPT   |   浏览:0

    • EDA基础总结修订.pdf EDA基础总结修订.pdf

      EDA 基础总结修订 LELE was finally revised on the morning of December 16,2020 EDA基础总结 综述部分 1.EDA 的中文全称为电子设.

      上传时间:2023-02-12   |   页数:10   |   格式:PDF   |   浏览:0

    • EDA分析学习教程.pptx EDA分析学习教程.pptx

      1微波控制电路根据其用途分类,主要包括以下三种情况:微波信号传输路径通断或转换微波开关控制微波信号的幅度大小电控衰减器,限幅器等.控制微波信号的相位数字移相器.7.1 引言第1页共49页2PIN二极管.

      上传时间:2023-02-21   |   页数:49   |   格式:PPTX   |   浏览:0

    • EDA讲解学习教程.pptx EDA讲解学习教程.pptx

      1微波控制电路根据其用途分类,主要包括以下三种情况:微波信号传输路径通断或转换微波开关控制微波信号的幅度大小电控衰减器,限幅器等.控制微波信号的相位数字移相器.7.1 引言第1页共49页2PIN二极管.

      上传时间:2023-02-21   |   页数:49   |   格式:PPTX   |   浏览:0

    • EDA步进电机控制.pdf EDA步进电机控制.pdf

      EDA技术设计报告直流电机的PWM 控制电子信息工程学院通信 2 班 顾问 2012214485 一EDA技术概述EDAElectronic Design Automation技术作为现代电子设计技术.

      上传时间:2023-03-28   |   页数:21   |   格式:PDF   |   浏览:0

    • EDA仿真软件介绍.pdf EDA仿真软件介绍.pdf

      EDA 仿真软件介绍 20090321 08:41分类:EDA EDA 技术是在电子 CAD 技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术计算机技术信息处理及智能化技.

      上传时间:2023-03-23   |   页数:20   |   格式:PDF   |   浏览:0

    • EDA数字系统设计.ppt EDA数字系统设计.ppt

      EDA数字系统设计数字系统设计 1课程内容主要讲授使用EDA工具,进行FPGA或者CPLD的数字逻辑系统设计的基础知识方法流程和验证技术等内容.2一些概念和术语数字逻辑逻辑器件EDAASICPLDCP.

      上传时间:2023-03-23   |   页数:64   |   格式:PPT   |   浏览:0

    • EDA数字时钟设计.ppt EDA数字时钟设计.ppt

      该电子教案为一书的配套教学资源版权所有,不得用于其它商业用途数字时钟设计及实现 何宾2011.09数字时钟设计本章概要 本章给出了本章给出了PLDPLD器件在复杂数字系统的典型应用实例器件在复杂数字系.

      上传时间:2023-03-26   |   页数:36   |   格式:PPT   |   浏览:0

    • eda课程设计.pdf eda课程设计.pdf

      1 eda 课程设计 一:设计目的:1学会利用 artusll 发热宏单元和所学的数字电路知识,指建复杂一点的数字电路或系统.2学会使用 E团 A的程序语言 FPGACPLD设计数字稳表.设计主要包.

      上传时间:2023-03-24   |   页数:11   |   格式:PDF   |   浏览:0

    • EDA详解学习教程.pptx EDA详解学习教程.pptx

      1微波控制电路根据其用途分类,主要包括以下三种情况:微波信号传输路径通断或转换微波开关控制微波信号的幅度大小电控衰减器,限幅器等.控制微波信号的相位数字移相器.7.1 引言第1页共49页2PIN二极管.

      上传时间:2023-04-16   |   页数:49   |   格式:PPTX   |   浏览:0

    • EDA电子综合设计.pdf EDA电子综合设计.pdf

      苏州科技学院 EDA 电子综合设计 院 系:电子与信息工程 专 业:电子信息工程 班 级:学 号:姓 名:第一部分:设计说明 1设计任务 设计一款数字电子时钟,具体要求如下:1:输入条件:50MHz.

      上传时间:2023-04-04   |   页数:12   |   格式:PDF   |   浏览:0

    • 各种EDA软件对比.ppt 各种EDA软件对比.ppt

      各种软件对比由来是电子设计自动化,的缩写,在世纪年代中期从计算机辅助设计,计算机辅助制造,计算机辅助测试,和计算机辅助工程,的概念发展而来的,工具软件分类工具软件可大致可分为芯片设计辅助软件,可编程芯.

      上传时间:2023-04-27   |   页数:49   |   格式:PPT   |   浏览:0

    关于得利文库 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

    © 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

    黑龙江省互联网违法和不良信息举报
    举报电话:0468-3380021 邮箱:hgswwxb@163.com  

    收起
    展开