欢迎来到得力文库 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
得力文库 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • 24个搜索结果:
    • 数字电路与数字逻辑实验报告实验三 时序逻辑电路设计.docx 数字电路数字逻辑实验报告实验三 时序逻辑电路设计.docx

      数字电路与数字逻辑实验报告实验3时序逻辑电路设计班级,姓名,学号,日期,实验三时序逻辑电路设计实验目的1,掌握中规模集成计数器74LS161的逻辑功能和使用方法,2,掌握使用清零法及置数法构成任意进.

      上传时间:2023-07-05   |   页数:6   |   格式:DOCX   |   浏览:0

    • EDA数字电路设计实验报告.doc EDA数字电路设计实验报告.doc

      实验目的通过本实验的学习,使学生掌握VHDL 中文件IO配置同步和异步设计等知识,训练VHDL 的编程能力,培养数字电路设计的基本技能,为今后继续学习大规模数字系统设计奠定基础.二实验内容1分析示例.

      上传时间:2023-03-19   |   页数:5   |   格式:DOC   |   浏览:0

    • 数字电路实验报告-数字电路设计实验报告.docx 数字电路实验报告-数字电路设计实验报告.docx

      实验报告04093043集成逻辑门的测试一 实验目的1了解与非门各参数的意义.2熟悉万用表的使用方法.3熟悉数字逻辑实验板的使用方法.4了解集成逻辑门电路的使用考前须知.二实验设备及器件1数字逻辑电路.

      上传时间:2023-02-25   |   页数:4   |   格式:DOCX   |   浏览:0

    • 数字电路设计实验报告.docx 数字电路设计实验报告.docx

      数字电路设计实验报告 数字电路设计试验报告 引言 本课程是面对智能车制作的课程,但是主要讲解并描述的是一些关于智能车制作的一些最基本的基础学问。比如说一些单片机的学问和一些相应数字电路的学问。故我在这.

      上传时间:2022-06-10   |   页数:13   |   格式:DOCX   |   浏览:0

    • 数字电路设计实验vhdl语言实验报告.doc 数字电路设计实验vhdl语言实验报告.doc

      实验一 秒表计数器的设计一 实验目的: 本实验通过设计四种频率可选的数字时钟系统,以到达熟悉VHDL语言编程语法设计思路和熟练掌握Quartus II 开发软件的目的.二实验内容: 该数字时钟的显示格.

      上传时间:2023-04-11   |   页数:42   |   格式:DOC   |   浏览:0

    • 复杂数字电路设计实验报告_数字抢答器.doc 复杂数字电路设计实验报告_数字抢答器.doc

      复杂数字电路设计实验报告数字式竞赛抢答器一.实验题目名称: 数字式竞赛抢答器二.实验目的任务和要求:设计个可容纳四组参赛的数字式抢答器,每组设一个按钮供抢答者使用.抢答器具有第一信号鉴别和锁存功能,使.

      上传时间:2022-11-01   |   页数:26   |   格式:DOC   |   浏览:0

    • 数字电路-组合逻辑电路设计-实验报告(共8页).docx 数字电路-组合逻辑电路设计-实验报告(共8页).docx

      精选优质文档倾情为你奉上实验三 组合逻辑电路设计含门电路功能测试一 实验目的1. 掌握常用门电路的逻辑功能2. 掌握小规模集成电路设计组合逻辑电路的方法3. 掌握组合逻辑电路的功能测试方法二 实验设备.

      上传时间:2022-05-04   |   页数:8   |   格式:DOCX   |   浏览:0

    • 数字钟设计报告数字电路实验报告.doc 数字设计报告数字电路实验报告.doc

      ,数字钟设计实验报告专业:通信工程姓名:王婧班级:111041B学号:111041226数字钟的设计目录一前言 3二设计目的 3三设计任务 3四设计方案 3五数字电路设计原理 4一设计步骤 4二数字.

      上传时间:2021-09-28   |   页数:11   |   格式:DOC   |   浏览:8

    • 数字钟设计报告数字电路实验报告.doc 数字设计报告——数字电路实验报告.doc

      数字钟设计报告数字电路实验报告专业: 工程技术系 班级: 电信 0901班姓名:学号 :数字钟的设计目录TOC o 15 h z 一前言 3二设计目的 3三设计任务 3四设计方案 3五数字电路设计原.

      上传时间:2022-12-30   |   页数:6   |   格式:DOC   |   浏览:0

    • 数字电路与逻辑设计实验报告.doc 数字电路与逻辑设计实验报告.doc

      数字电路与逻辑设计实验报告实验 报告书课程名称数字电路与逻辑设计专业计算机科学与技术班级2姓名刘腾飞学号09030234指导教师王丹志成绩2021年 年 11月 月 10 日实验题目:译码器数据选择器.

      上传时间:2022-10-06   |   页数:8   |   格式:DOC   |   浏览:0

    • 北邮数字电路及逻辑设计实验报告.doc 北邮数字电路及逻辑设计实验报告.doc

      北京邮电大学数字电路与逻辑设计实验报告学院: 班级: 姓名: 学号: 实验一 Quartus II原理图输入法设计与实现一实验目的:1 熟悉Quartus II原理图输入法进行电路设计和仿真;2 掌握.

      上传时间:2022-08-19   |   页数:14   |   格式:DOC   |   浏览:0

    • 北航电子电路设计数字部分实验报告.doc 北航电子电路设计数字部分实验报告.doc

      北航电子电路设计数字局部实验报告电子电路设计数字局部实验报告 学 院:姓名:实验一 简单组合逻辑设计 实验内容 描绘一个可综合的数据比拟器,比拟数据a b的大小,假设一样,那么给出结果1,否那么给出结.

      上传时间:2022-12-30   |   页数:4   |   格式:DOC   |   浏览:0

    • 数字电路电子钟设计实验报告(共12页).doc 数字电路电子钟设计实验报告(共12页).doc

      精选优质文档倾情为你奉上数字电路电子钟设计实验报告目 录1实验目的2实验题目描述和要求3设计报告内容3.1实验名称3.2实验目的3.3实验器材及主要器件3.4数字电子钟基本原理3.5数字电子钟制作与调.

      上传时间:2022-04-24   |   页数:12   |   格式:DOC   |   浏览:0

    • EDA实验报告5-乐曲硬件演奏电路设计(共9页).doc EDA实验报告5-乐曲硬件演奏电路设计(共9页).doc

      精选优质文档倾情为你奉上EDA技术与应用实验报告姓名学号专业年级电子信息工程实验题目乐曲硬件演奏电路设计实验目的1. 学习利用数控分频器设计硬件乐曲演奏电路2. 掌握模块化和层次化的设计方法以及音符编.

      上传时间:2022-05-16   |   页数:9   |   格式:DOC   |   浏览:0

    • 2023年北邮数字电路与逻辑设计实验报告.doc 2023年北邮数字电路与逻辑设计实验报告.doc

      北京邮电大学数字电路与逻辑设计试验汇报学院,班级,姓名,学号,试验一原理图输入法设计与实现一,试验目旳,熟悉原理图输入法进行电路设计和仿真,掌握图形模块单元旳生成与调用,熟悉试验板旳使用,二,试验所用.

      上传时间:2023-09-25   |   页数:34   |   格式:DOC   |   浏览:0

    • 2022年数字钟电路设计与制作实验报告.doc 2022年数字电路设计与制作实验报告.doc

      数字电路设计与制作实验报告实验目的:1综合应用数字电路知识; 2学习使用protel进行电子电路的原理图设计印制电路板设计3学习电路板制作安装调试技能.二实验任务及要求:任务:设计一个12小时或.

      上传时间:2022-10-09   |   页数:17   |   格式:DOC   |   浏览:0

    • 数字钟电路设计与制作实验报告(共14页).doc 数字电路设计与制作实验报告(共14页).doc

      精选优质文档倾情为你奉上数字电路设计与制作实验报告实验目的:1综合应用数字电路知识; 2学习使用protel进行电子电路的原理图设计印制电路板设计3学习电路板制作安装调试技能。二实验任务及要求:.

      上传时间:2022-04-29   |   页数:14   |   格式:DOC   |   浏览:0

    • 北邮数字电路与逻辑设计实验报告(共20页).doc 北邮数字电路与逻辑设计实验报告(共20页).doc

      精选优质文档倾情为你奉上北京邮电大学数字电路与逻辑设计实验报告学院: 班级: 姓名: 学号: 实验一 Quartus II原理图输入法设计与实现一实验目的:1 熟悉Quartus II原理图输入法进行.

      上传时间:2022-05-04   |   页数:20   |   格式:DOC   |   浏览:2

    • 简单电子琴演奏器(数字电路与逻辑设计)实验报告.doc 简单电子琴演奏器(数字电路与逻辑设计)实验报告.doc

      ,2012年数字电路与逻辑设计实验报告题目: 简易电子琴演奏器学 院:信息与通信工程学院班 级:2010211122姓 名:李济汉学 号:10210737班内序号:20指导教师:袁东明 老师一实验任务.

      上传时间:2021-09-28   |   页数:27   |   格式:DOC   |   浏览:6

    • 数字电路及逻辑设计实验报告,基于FPGA的数字电子钟的设计实现分析.doc 数字电路及逻辑设计实验报告,基于FPGA的数字电子钟的设计实现分析.doc

      . .学生实验实习报告册学年学期:课程名称:实验工程:基于FPGA的数字电子钟的设计与实现XX:学院和专业:班级:指导教师:邮电大学教务处制图一 01. 系统顶层模块设计如:图一 02. 主要功能模块.

      上传时间:2022-04-27   |   页数:13   |   格式:DOC   |   浏览:0

    关于得利文库 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

    © 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

    黑龙江省互联网违法和不良信息举报
    举报电话:0468-3380021 邮箱:hgswwxb@163.com  

    收起
    展开