欢迎来到得力文库 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
得力文库 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • 97个搜索结果:
    • EDA 技术实用教程 第3章.ppt EDA 技术实用教程 3.ppt

      EDA 技术实用教程技术实用教程第第 3 3 章章 FPGACPLD 结构与应用结构与应用 3.1 概概 述述 K KX康芯科技康芯科技基本门基本门 组合电路组合电路 时序电路时序电路 图图31 基本.

      上传时间:2023-01-16   |   页数:62   |   格式:PPT   |   浏览:0

    • EDA 技术实用教程 第1章 概述.ppt EDA 技术实用教程 1 概述.ppt

      技术实用教程技术实用教程第第章章概概述述,技术及其发展技术及其发展,康芯科技康芯科技,技术发展的三个阶段技术发展的三个阶段世纪世纪年代年代工艺工艺概念概念世纪世纪年代年代时代时代出现出现世纪世纪年代年.

      上传时间:2023-04-25   |   页数:12   |   格式:PPT   |   浏览:0

    • catia PPT实用教程第3章.ppt catia PPT实用教程3.ppt

      第第3 3章章草图设计草图设计3.1 3.1 概述概述3.2 3.2 进入和退出草图设计的环境进入和退出草图设计的环境3.3 3.3 绘制图形绘制图形3.4 3.4 图图形形编辑编辑3.5 3.5 约.

      上传时间:2023-03-26   |   页数:123   |   格式:PPT   |   浏览:0

    • EDA技术实用教程第五版习题答案第110章 .docEDA技术实用教程第五版》习题答案1~10 .doc

      技术实用教程,第五版,习题习题,技术与设计和开发有什么关系,在设计中有什么用途,技术与设计和开发有什么关系,答,利用技术进行电子系统设计的最后目标是完成专用集成电路的设计和实现,和是实现这一途径的主流.

      上传时间:2024-06-13   |   页数:56   |   格式:DOC   |   浏览:0

    • Java Web应用开发技术实用教程-第3章 JSP隐含对象.ppt Java Web应用开发技术实用教程-3 JSP隐含对象.ppt

      Java Web开发技术开发技术第第3章章 JSP隐含对象隐含对象31420231第3章 JSP隐含对象l为简化为简化Web页面的开发过程,页面的开发过程,JSP提供了一些提供了一些由容器实现和管理的.

      上传时间:2023-04-14   |   页数:72   |   格式:PPT   |   浏览:0

    • EDA技术实用教程第3章.ppt EDA技术实用教程3.ppt

      EDA 技术实用教程技术实用教程第第 2 2 章章 EDAEDA设计流程及其工具设计流程及其工具 2.1 设计流程设计流程 K KX康芯科技康芯科技图图21 应用于应用于FPGACPLD的的EDA开发.

      上传时间:2022-10-29   |   页数:12   |   格式:PPT   |   浏览:0

    • EDA技术实用教程第4章.ppt EDA技术实用教程4.ppt

      EDA 技术实用教程技术实用教程第第 4 4 章章 VHDL设计初步设计初步4.1 多路选择器的多路选择器的VHDL描述描述 K KX康芯科技康芯科技4.1.1 2选选1多路选择器的多路选择器的VHD.

      上传时间:2023-01-16   |   页数:58   |   格式:PPT   |   浏览:0

    • EDA技术实用教程第12章.ppt EDA技术实用教程12.ppt

      EDA 技术实用教程技术实用教程第第 12 12 章章 系统仿真系统仿真12.1 仿真仿真 K KX康芯科技康芯科技仿真也称模拟仿真也称模拟Simulation是对电路设计的一种间接的检测方法,是利用.

      上传时间:2023-01-16   |   页数:34   |   格式:PPT   |   浏览:0

    • EDA技术实用教程第5章.ppt EDA技术实用教程5.ppt

      EDA技术实用教程技术实用教程第第 5 5 章章 QuartusII应用向导应用向导 5.1 基本设计流程基本设计流程 K KX康芯科技康芯科技图图51选择编辑文件的语言类型,键入源程序并存盘选择编辑.

      上传时间:2023-01-16   |   页数:73   |   格式:PPT   |   浏览:0

    • EDA技术实用教程第6章.ppt EDA技术实用教程6.ppt

      EDA 技术实用教程技术实用教程第第 6 6 章章 VHDL设计进阶设计进阶 6.1 数据对象数据对象常数信号变量常数信号变量K KX康芯科技康芯科技6.1.1 常数常数 常数定义的一般表述如下:常数.

      上传时间:2023-03-23   |   页数:66   |   格式:PPT   |   浏览:0

    • EDA技术实用教程-第3章-VHDL设计初步.ppt EDA技术实用教程-3-VHDL设计初步.ppt

      EDA技术实用教程技术实用教程第第3 3章章 VHDL设计初步设计初步 教学内容教学内容:3.1组合电路的组合电路的VHDL描述描述3.2基本时序电路的基本时序电路的VHDL描述描述 3.3计数器的计.

      上传时间:2023-01-27   |   页数:88   |   格式:PPT   |   浏览:0

    • (精品)EDA技术实用教程第10章.ppt (精品)EDA技术实用教程10.ppt

      EDA技术实用教程技术实用教程第第 10 10 章章 VHDL基本语句基本语句 10.1 顺序语句顺序语句 K KX康芯科技康芯科技10.1.1赋值语句赋值语句信号赋值语句信号赋值语句信号赋值语句信号.

      上传时间:2022-12-27   |   页数:96   |   格式:PPT   |   浏览:0

    • (精品)EDA技术实用教程第12章.ppt (精品)EDA技术实用教程12.ppt

      EDA 技术实用教程技术实用教程第第 12 12 章章 系统仿真系统仿真12.1 仿真仿真 K KX康芯科技康芯科技仿真也称模拟仿真也称模拟Simulation是对电路设计的一种间接的检测方法,是利用.

      上传时间:2023-01-10   |   页数:34   |   格式:PPT   |   浏览:0

    • (精品)EDA技术实用教程第4章.ppt (精品)EDA技术实用教程4.ppt

      EDA 技术实用教程技术实用教程第第 4 4 章章 VHDL设计初步设计初步4.1 多路选择器的多路选择器的VHDL描述描述 K KX康芯科技康芯科技4.1.1 2选选1多路选择器的多路选择器的VHD.

      上传时间:2023-01-10   |   页数:58   |   格式:PPT   |   浏览:0

    • (精品)EDA技术实用教程第7章.ppt (精品)EDA技术实用教程7.ppt

      EDA技术实用教程技术实用教程第第 7 7 章章 宏功能模块与宏功能模块与IPIP应用应用 7.1 宏功能模块概述宏功能模块概述 K KX康芯科技康芯科技算术组件算术组件 累加器加法器乘法器和累加器加.

      上传时间:2023-01-10   |   页数:86   |   格式:PPT   |   浏览:0

    • (精品)EDA技术实用教程第11章.ppt (精品)EDA技术实用教程11.ppt

      EDA 技术实用教程技术实用教程第第 11 11 章章 优化和时序分析优化和时序分析 11.1 资源优化资源优化 K KX康芯科技康芯科技11.1.1 资源共享资源共享例例111LIBRARY iee.

      上传时间:2023-01-10   |   页数:74   |   格式:PPT   |   浏览:0

    • (精品)EDA技术实用教程第6章.ppt (精品)EDA技术实用教程6.ppt

      EDA 技术实用教程技术实用教程第第 6 6 章章 VHDL设计进阶设计进阶 6.1 数据对象数据对象 K KX康芯科技康芯科技6.1.1 常数常数 常数定义的一般表述如下:常数定义的一般表述如下:C.

      上传时间:2023-01-10   |   页数:66   |   格式:PPT   |   浏览:0

    • (精品)EDA技术实用教程第9章.ppt (精品)EDA技术实用教程9.ppt

      EDA技术实用教程技术实用教程第第 9 9 章章 VHDL结构与要素结构与要素 9.1 实体实体 K KX康芯科技康芯科技9.1.1实体语句结构实体语句结构实体说明单元的一般语句结构:实体说明单元的一.

      上传时间:2023-01-10   |   页数:97   |   格式:PPT   |   浏览:0

    • EDA技术实用教程第1章概述.ppt EDA技术实用教程1概述.ppt

      EDA技术实用教程技术实用教程第第 1 1 章章 概概 述述1.1 EDA技术及其发展技术及其发展 EDAEDAElectronic Design AutomationElectronic Desig.

      上传时间:2023-01-16   |   页数:50   |   格式:PPT   |   浏览:0

    • (精品)EDA技术实用教程第13章.ppt (精品)EDA技术实用教程13.ppt

      EDA技术实用教程技术实用教程第第 13 13 章章 电子系统设计实践电子系统设计实践 13.1 VGA彩条信号显示控制器设计彩条信号显示控制器设计 K KX康芯科技康芯科技5个信号个信号RGB:三基.

      上传时间:2023-03-26   |   页数:40   |   格式:PPT   |   浏览:0

    关于得利文库 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

    © 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

    黑龙江省互联网违法和不良信息举报
    举报电话:0468-3380021 邮箱:hgswwxb@163.com  

    收起
    展开