欢迎来到得力文库 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
得力文库 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    智能防火防盗报警系统设计.doc

    • 资源ID:1858625       资源大小:487KB        全文页数:51页
    • 资源格式: DOC        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    智能防火防盗报警系统设计.doc

    I智能防火防盗报警系统设计摘要:本文介绍了住宅智能化中的防盗防火报警系统。智能化防盗防火报警系统集防盗防火功能于一体,可全天候自动检测盗警和火警,当确定警情时自动通过电话报警。传感器采用微波探测器和温度探测器,分别对盗情和火情进行检测,当有人闯入时,微波探测器检测到盗情,然后向单片机发出中断申请,单片机控制电话拨号电路,模拟摘机,自动拨打 110,并播放预先录制好的录音,当发生火灾时,温度探测器检测到火情,则自动拨打 119 并播放录音,也可以自行设置所要拨打的号码,如事主的手机号码,录音需自行录制。本系统采用了美国 ATMEL 公司生产的 AT89C51 单片机,美国公司 XICOR 生产的低功耗 CMOS 的串行 EEPROM-X25045,加拿大 Mitel 公司生产的 DTMF 信号编/解码芯片MT8888,语音模块采用美国 ISD 公司的 ISD1420 语音芯片,整体功耗较小,兼容性好,稳定性高。本系统通过密码来识别主人身份,系统开启后只有输入正确的密码才能关闭系统。本系统同时还具有自我诊断功能,出现故障能自动进行处理。系统从硬件和软件两方面进行了抗干扰设计,使其具有较好的抗干扰能力,完成系统可靠工作。关键词:智能防火防盗报警系统;自动拨号;探测器IIIntelligent fireproof and theft-proof alarm systemAbstract:This article introduced in the house intelligent fireproof and theft-proof alarm system. intelligent fireproof and theft-proof alarm system set fireproof and theft-proof function in a box, and all-weather automatic detection the theft-alarm and the fire-alarm, then dial the police sentiment automatically with telephone call. The sensor uses the microwave detector and the temperature detector, to robs the sentiment and the state separately carries on the examination, when some people broke into, microwave detector to detect unauthorized situation, and then sent to the interruption SCM applications, MCU control telephone dial-up circuit , Simulated pick, automatically dial 110, and play pre-recorded sound recording, when the fire occurred, the temperature detector to the fire detection, automatically dial 119 and audio recording can also set up by a number to dial, such as the victim Phone number, recording own record. This system uses the U.S. ATMEL company's AT89C51 SCM, U.S. companies XICOR production of low-power CMOS Serial EEPROM-X25045, Canada, Mitel company's signal for DTMF / decoder chip MT8888, voice module using the U.S. ISD company's ISD1420 voice chip, a smaller overall power consumption, compatibility, and high stability. Through this system to identify the owner as password, the system opened only after entering the correct password to shut down the system. The system also features a self-diagnosis, failure can be automatically processed. Hardware and software systems from two aspects of the anti-interference design, so as to make it a better anti-interference capabilities, reliable system to complete the work.Key words : intelligent fireproof and theft-proof alarm system; automatic Dial; detector1第 1 章 绪论1.1 序言改革开放以来,在城市大发展的过程中,大量外地人口涌入城市,给社会治安带来很大压力。工厂、机关和居家失盗、失火、抢劫事件时有发生;个别地方尤为严重,损失惊人。由此引起公安部门的高度重视和社会各届人士的普遍关注。有些部门和居民小区开始派人白天守卫、夜间巡逻,并纷纷购置防护铁门、铁栏杆等被动防范措施,将主人装在铁笼子中以求安全【1】。一旦发生警性(如火警或煤气泄漏),才发现铁笼子将消防人员拦在事故现场之外,难以即时救助,实为弊端。从整顿市容角度来看,亦不雅观。为此,政府部门提倡采用高科技手段实现技术防范措施。在社会治安的现实需求和政府部门的推动下,市场上国产和进口的防盗报警应运而生。本文所要介绍的智能防盗防火报警系统,正是在智能住宅蓬勃发展的背景下,为了满足用户对安全的强烈要求,而设计并开发的。系统的首要任务是根据住宅小区的类型、使用功能及防护风险等要求,为保障小区人身财产安全,通过运用传感器探测、双音多频远程数据传输等技术综合形成智能报警系统,从而达到保障住宅安全的目的3。双音多频(DTMF)信令的传输速度,使得它广泛应用于各种通信和控制系统中。DTMF 信号收发芯片的发送部分采用信号失真小、频率稳定性高的开关电容式 D / A 变换器,可发出 16 种双音多频 DTMF 信号:接收部分用于完成 DT 信号的接收、分离和译码,并以 4 位并行二进制码的方式输出。当遇到盗情、火情等各种险情的时候,该系统可以通过电话网络自动向相关部门发出语音求救信号,从而达到保护用户生命财产的目的。1.2 国内外研究概况智能小区是在智能化住宅的基本含义中扩展和延伸出来的,小区管理最为重要的内容之一是确保住宅、住户安全。现代居住的格局,邻里之间的来往越来越少,家庭生活隐密性、封闭性越来越强。所以说,小区的安防系统和智能管理系统是现代化小区管理不可缺少的有机组成部分。考虑设备成本与集中管理的需要,可将周界报警探测系统、住宅联网报警系统加以集成和综合,构成综合防范体系。由此,居民住宅应当设置安全防范报警系统,对火灾、盗窃、入室抢劫等做到早发现、早报警,通过社会力量和科技手段来提高家庭抵御各种意外情况的能力。现在世界各国都在致力于研究和开发能早期预报火灾的火灾探测方法和设备,如利用神经网络所具有的自学习和自适应等特点,就可组成智能火灾探测系统,提高火2灾探测系统的检出率,增进系统的可靠性。在我国,小区安全防范报警系统已成为智能小区中实现安全管理的重要系统,根据我国建设部的规定,主要包括电视监控、防盗报警、求救求助、煤气泄漏报警、消防报警等内容。该系统是一种比较完善的安全防范系统,通过在可视对讲的基础上,不断扩展主机功能,增设室内分机用于接收室内各探测器的报警信号2。室内分机有多个探测器接口,可接收感烟探测器、温度探测器、红外及微波探测器、煤气泄漏探测器等传来的报警信号。我国智能住宅安防系统相对国外来讲,是有较大差距的。现在一般居民住宅的主要防盗措施仅限于防盗窗、防盗门,虽有一定的防盗作用,在灾害发生的情况下,使逃生更加困难。另外,小区安全措施不足;居民安全意识有待增强;安全防范系统也急需普及。在我国,以北京、深圳、上海、广州等较发达的城市为龙头在近几年内也形成了一股智能化住宅热。目前,公安部、建设部均要求智能住宅小区必须具有安防系统。大连市华乐一环海花园全部住宅均设计有国内一流的家居安防系统。家居安防系统包括四部分功能:幕帘式电子栅窗、智能门禁管理、紧急呼救和误报自解除功能。该系统采用独立的不间断电源供电。报警控制器以 MCU 为核心,可以检测两个报警头输入信号,可以设置新密码,可以接收遥控器输入信号,可以实现声、光报警或把报警信号通过电话线送到 110 。系统中还配备了充电电池,以保证在断电或电源被破坏时系统正常工作。可以预见,智能住宅、智能小区将成为 21 世纪建筑业的发展主流。特别是在我国,随着人们生活水平的日益提高,住宅小区是否智能化,安防系统是否完备、可靠将成为评价住宅小区的重要指标。1.3 我国住宅智能安全防范系统展望智能化住宅和智能小区近几年来在全球以及在中国的快速发展是信息技术发展寻找更广阔的市场结合点的必然结果,是 IT 产业向传统住宅产业以及人们生活渗透的必然结果。智能化住宅和智能小区建设目标是向人们提供“方便快捷的信息通信、安全舒适的住宅环境、高效便利的物业管理”。发展智能住宅小区是住宅产业现代化的必然选择。按智能技术开发的功能和作用的不同,智能小区中报警系统应用一般体现在探测智能、监控智能和抗干扰智能三个主要方面。以火灾报警为例,探测智能是通过探测器中的微处理器进行的,它不但对火灾信号直接进行检测、分析和信号处理,而且对环境的变化可及时做出响应,并利用软件中建立的算法进行综合比较,自动调整运行参数,做出恰当的智能判断;监控智能则是由探测器中计算机自身的软件(程序块)来完成的,监控程序周期地运行,使系统始终保持良好的使用和维护状态。将来3的火灾探测报警系统的发展方向是智能寻址式(也称模拟量)系统,目前欧美已有一些国家正在大力研究无线火灾探测报警系统,随着时间的推移,这种产品在我国也会发展成为主流。如今,人民生活已从温饱型向小康转变,大件耐用消费品己经进入寻常百姓家,因此人们会有更强的安全意识,逐渐接受在住宅内装设质优价廉、功能完善的安全防范系统。同时人类已经进入二十一世纪,智能住宅己开始引起人们的关注。作为智能住宅的一个组成部分,安全防范系统也必将向多功能、全方位、综合性、智能化方向发展。1.4 论文主要工作概述针对国内外的发展情况,可见住宅智能安全防范系统是我国未来智能住宅建设的重点发展方向1。本课题要设计的智能住宅防盗防火报警系统是依托公共电话网做传输媒体的电话报警系统,与传统的区域报警系统相比,它具有传输距离远、硬件简单、安装方便的优点,而对于实行物业管理的居民区以及机关、事业单位的办公区则采用分户对值班室的联网方案。本文所介绍的安全报警系统,是用双绞线连接中央控制器和各用户端自动报警器,实现前端报警器的报警数据采集;利用电话线,采用单片控制的 DTMF 通信方式实现自动拨号报警,既可提高信息传递速度,又可解决前端报警器之间同时报警争信道问题。本课题所设计的防盗防火报警系统符合国家建设部对普及型住宅小区的要求,实现功能包括:能对住宅的火灾实行自动报警;还能对盗窃以及入室抢劫实行自动报警;住宅设置紧急呼叫系统;用户端自动报警器对各传感器的信号进行检测和控制;用户端自动报警装置对双音多频(DTMF)编、译码器控制,使住宅通过电话网实现与相关部门(小区管理中心或 110 / 119 报警台)之间的数据交换,设置简洁,操作方便。该智能报警系统主要包含用户端电子防盗防火系统及监控管理控制中心两大部分。用户端的电子防盗防火系统又包括探测器、自动报警器及输出等组成部分,并将模块化思想引入此系统,从而使整个系统的功能更完善、灵活、可调。课题重点设计了前端探测器部分和自动拨号报警部分,最终完成了防盗防火的自动拨号报警功能。本课题内容属于硬件电路的设计与应用方面,实现过程包括电路原理设计、元器件(芯片)选择与特性测试、分立元件面包板模拟、编制程序及软件调试、整体电路编程器调试、设计外观及制版、产品抗干扰。在满足各项性能指标的前提下,不仅要考虑到系统的易用性,还要努力降低成本,使其经济实用,在保证灵敏度的情况下,尽量降低误报率,确保在同类产品中的竞争地位。 4第 2 章 系统设计方案2.1 智能报警系统的总体构成智能住宅防盗防火智能报警系统开发设计方案是参照国内外相关技术的发展状况,根据我国住宅建设的实际情况,以及各相关方面的协调发展状况,为满足新时期居民的居住要求,真正实现智能化报警的要求来确定的。本防盗防火报警系统是一种新型的电子安全报警系统,该系统的设计是将电子探测、智能控制和电话通讯技术相结合,从而形成一个防盗、防火报警系统5。系统总体构成包括防盗防火探测器、用户端自动报警器与通讯线路三个模块,系统组成框图如图 2.1 所示。防盗探测器 微波探测器 防火探测器 温度探测器报警开关 紧急呼救开关指示灯 控制输出/输入图 2.1 智能住宅防盗防火报警系统组成框图用户端自动报警器安装于居民住宅,用于对居民住宅各个不同部位的不同类型探测器进行监测与控制,并对从各个探测器采集来的数据进行处理。当出现异常情况时,通过家中的电话线路自动拨号报警,并与中央控制器建立联系。系统不需要另外占用电话线路,当有报警信号时,报警电话享有电话线路的优先权。2.2 报警系统的功能及工作过程智能住宅防盗防火报警系统具有以下特点和功能:用户端 自动报警 器 AT89C51看门狗电路 EPROM密码控制电路拨号电路电话机 接口电 路语音检测与 报警电路电源电路51. 系统采用模块化设计。前端报警器能够快速、准确地检测到现场的异常状态,经确认后及时通报给中央控制器。并能够可靠地进行盗情、火情报警,通过电话线拔打预先设置的 1-6 组电话手机号码(小区监控管理中心电话、用户个人电话、用户单位电话、盗警电话 110 、火警电话 119 、紧急呼救电话),进行语音报警。报警完毕自动回到警戒状态,等待下一次报警。2.用户端自动报警器可检测探测器或传输线路发生的故障(如探头断线或掉电等), 并可向中央控制器报告故障情况。3. 系统开关机采用密码控制且允许修改密码,防止误报同时增加用户端自动报警器的保密性。4. 用户端自动报警器内提供备用电源,在没有市电的情况下,交直流供电自动切换,确保系统在停电时能继续工作。系统的基本工作过程:用户端的防范现场,一旦有人入侵、或发生火灾等紧急情况时,与之相应的报警探测器(各种防火、防盗及手动报警按钮等)则立即向用户端自动报警器发出报警信号。接到警情事件后,自动报警器立即进行确认(多次巡检中断信号),若 50s 后无人解除警情同时警情确认无误后,进行事件的现场声(蜂鸣器)、光(LED )报警,同时用户端自动报警器自动向相关部门拨打预先设置好的报警电话号码,进行语音报警24。在用户端自动报警器的面板上设有 LCD 显示器、键盘以及三色警灯(LED ) ,三色警灯分别指示火灾或红外微波双鉴的防火防盗报警、正常工作及系统出现故障的状态,即报警灯(红)、工作灯(绿)和故障灯(黄)。正常时 LCD 显示时间,事件发生时锁定显示当时的时间。用户端报警器同时具有探头故障报警功能,避免由于探头掉电而漏报,出现故障时点亮故障灯;如果判断探头掉线(被剪断),则声光报警。如果出现误触发而报警时可以通过触发延迟时间(505 定时器)去解除,另外用户端自动报警器还具备状态信息(如有无交流电、备用电池电量是否不足等)上报的功能,可以对预设的普通电话、手提电话实现报警。 本章节主要介绍了系统总体设计方案,确定了原理框图,对报警系统的运行做了分析,并设计了防火和防盗探测器,为后续章节研究防火防盗报警系统的模块化设计打下了理论基础。6第 3 章 系统硬件设计3.1 防盗探测器电路设计微波探测器为空间探测器,用于探测在防范空间内的任何运动物体。微波探测器可靠性强,无光亮和热源的要求,探测环境要求低。在微波段,当以一种频率发送时,在微波能量覆盖的范围内,如果有物体移动,将会以另一种频率反射,这样发射频率和反射频率有一个频率差异产生。这种频率差异与很多因素有关,其中包括移动物体的速度,与探测器的径向角度等。实际电路中,是由振荡器电路产生并发射近微波段电磁波形成微波场,天线把电信号转换为相应的电磁波辐射到周围空间,辐射半径可达 10m 以上(如果想继续增大辐射半径或提高灵敏度可以通过调整天线的大小和方向来完成)。当有人在场中运动时,反射回去的微波将发生频率变化,从而使微波探测器输出一个与人体运动速度有关的低频电信号。根据该特性,也选择微波探测器用于盗情的检测。天线比较电 路微波振 荡电路前级放 大两级放 大滤波电 路LED电源图 3.1 微波探测器原理框图环形天线和它周围的电阻、电容和 MOS 场效应管组成了近微波段高频自激振荡电路(它的振荡频率在 1GHz 左右),微波探测器原理如图 3.1 所示,当电路接通电源以后,振荡产生的单频、等幅信号通过外接天线发射到空间,产生一个立体空间微波防护区,天线既发射振荡信号,也接收回波。反射回来的微波信号与原信号之间混频后产生微弱的频移信号,该信号送放大器进行放大14。放大后的信号送窗口式鉴幅比较输入端,经比较将一定强度的探测信号转换为宽度不同的等幅脉冲输出。微波探测器电路使用的主要元件是单电源通用四运算放大器 KIA324P 、环形天线、微波振荡管 C3355 及一些外围元器件,外接6V 电源。其电路图如图 3.2 。当有人在该微波防护区内移动时,振荡频率和幅度发生相应的变化。根据多普勒效应,该波动的频率与物体运动的快慢有关,而幅度与距离有关。混频后高频信号因为过高而失去作用,剩下微弱的低频信号经 U1 作前级放大,10 pF 电容与 7.5K 电阻构成充电电路,充电电压作为第一级比较器 U4 的基准电压,同时实现延时功能,即只有前级放大7电压高于该参考电压时,输出才为高电平,此时,C9O15 导通,最后信号经 U2 、U3 构成的窗口比较器比较后输出探测到的信号12。实验过程中报警范围实测约为 7-8 米,探测到有效信号时,有 20 秒的报警信号输出,LED 发光做出预警指示,可有效的进行实时探测。该电路可以工作在较宽的电压范围内(标准电压是 32V ,但实际可以工作在很宽的电压范围内),当检测到异常信号时为高电平。图 3.2 微波探测器电路图3.2 防火探测器电路设计温度探测器使用数字温度传感器 DS18B20 , 5V 直流电压供电。DS18BZO 的测温原理是利用温敏振荡器的频率随温度变化的关系,把温度信号直接转换为串行数字信号,通过内部计数器对受温度影响的振荡器周期的计数可实现温度测量。探测器中 DS18B20 采用寄生电源供电方式,保证在有效的 DS18B2O 时钟周期内能提供足够的电流,图3.3 中采用一个 MOSFET 管和 MCU 的 I/O 口来完成对 DS18B2O 的总线上拉,然后通过另一 I/O 对 DS18B2O 进行控制并取得温度值。8图 3.3 温度探测器电路3.3 用户端自动报警器总体设计用户端自动报警器是本课题的设计重点,自动报警器组成框图如图 3.4 所示,主要包括拨号模块、语音模块、电话接口模块、键盘密码显示模块以及电源模块7。报警器功能已在 1.2 节具体描写过,这里不再详述,本节着重介绍与自动拨号功能相关的硬件电路设计。微波防盗 探测器温度探测 器用户端自动 报警器 CPU AT89C51看门狗电 路 EEPROMDTMF 拨 号电路语音检测 电路电话接口电路开关电路输入输出控 制电路备用电源图 3.4 用户端自动报警器组成框图系统微处理器采用美国 ATMEL 公司生产的 AT89C51 单片机。AT89C51 采用 COMS 工艺,是一种低功耗、高性能的,与 INTEL 8051 系列单片机完全兼容的 8 位微控制器。AT89C51 内部具有 4K 字节的 Flash (闪速)存储器,可反复擦写,在设计程序时可反复修改原程序、编译、并烧写到单片机,适合单片机最小系统的开发与研制。93.3.1 自动报警器电路设计自动报警器电路见图 3.5 。时钟电路由两个 30P 的电容和 12MHz 的晶振构成。复位电路由电阻、电容、二极管和按键开关构成,具有上电复位和手动复位的功能。单片机的 INTO 、INT1 分别与盗警、火警传感器相连,实现各种警情的采集。为防止环境干扰信号对触发中断的影响,当响应中断后,对中断信号多次(如 5 次)巡检,确认是中断信号时,才去执行中断处理子程序,否则认为是外界干扰信号不执行报警处理,有效降低误报几率。图 3.5 自动报警器电路P2.1 与语音电路相连,实现语音的回放控制。P2.2 接通讯接口转换芯片的数据发送、接收片选端RE (DE)。P2.3 与电话接口电路相连,实现模拟摘挂机的控制。P2.4 接探头掉线检测端,单片机对该口定时查询,正常时为高电平,当检测到低电平即发出掉线警报10。P2.5 接交流电源掉电报警信号(交流断电后由直流电源继续供10电,直流电源放电低于预警值后向自动报警器发直流断电预警信号。P1.0 、P1.1 、Pl.2 为接键盘电路的三根 I/0 口线,Pl.3 接紧急呼救按键。Pl.5 接液晶显示器的串行时钟输入端,Pl.6 接液晶显示器的数据输入端。Pl.7 接多路开关 CD4O51 的片选端 INH , PI.4 、P2.6 分别接多路开关的输入端 A 、B 。多路开关输出分别接报警LED 、蜂鸣器,有警报发生时开关的输出 I/O 口给出高电平信号。PO.O 、P0.1 、P0.2 和 P0.3 分别与 MT8888 的 DO 、Dl 、D2 和 D3 相连,用作数据总线。P2.0 与 MT8888 的 RSO 相连,控制 MT8888 内部寄存器的选择。P2.7 与 MT8888 的 CS 相连,控制 MT8888 的选通。P3.6 、P3.7 分别与 MT8888 的 WR 和 RD 相连,控制 MT8888 的读写。P0.4 、P0.5 接 EEPROM 的串行输入和串行输出端,P0.6 、PO.7 分别接EEPROM 的串行时钟输入和片选输入端。3.3.2 串行 EEPROM-X25045 本设计中采用了具有可编程的串行 EEPROM-X25045。X25045 是美国公司 XlCOR 低功耗 CMOS 的产品(备用电流 10uA 、工作电流 3mA) ,它把看门狗定时器、VCC 监控电路和 EEPROM 三种常用功能组合在单个封装内,增大了电路密度,减少了体积,提高了系统的可靠性,是设计成直接与许多通用的微控制器系列的同步串行外设接口(SPI)相接的 512X8 位 EEPROM 。本系统中 X25045 依次存储了标志字段、用户编号、话机号码、报警器编号、警情代号、系统设置等数据信息。VCC 监控功能是指只要 VCC 电平降至低于最小转换电压或者看门狗定时器达到其编程的超时极限值,RESET 的输出为高电平。X25045 包括一个 8 位指令寄存器,它可以通过 SI (P0.4)输入来访问,数据在SCK (P0.6)的上升沿由时钟同步输入。在整个工作周期内,/CS (P0.7)必须为低电平,/WP 必须为高电平。X25045 监视总线,如果在预置时间内没有总线活动,那么它将提供 RESET 信号输出19。在 S1 线上输入的数据在/CS 变为低电平后的 SCK 的第一个上升沿被采样,由 SCK 的下降沿输出到 50 (P0.5)线上。SCK 是静态的,允许用户停止时钟并在其后恢复操作。所有的指令、地址和数据都以 MSB (最高有效位)在前的方式传送,读和写指令的指令格式中均包含有地址高位 A8 ,此位用于选择器件的上半部或下半部。在上电和字节、页或状态寄存器写周期完成以后及WP 变为低电平时,写使能锁存器被复位(即禁止写操作),因此写操作前必须设置写使能锁存器。如图 3.6所示:11D7D6D5D4D3D2D1D01-正在写,0-没有写操 作1-锁存器置位,0-锁存器复位块保护位看门狗定时器位图 3.6 状态寄存器格式本系统中对 X25045 的读数据、写数据、置位写使能的操作过程简述如下: 1. 从 X25045 中读数据的操作为:把CS 拉低以选择芯片:发送 8 位的读(READ )指令;送 8 位的字节地址;将所选定地址的存储器中的数据移到 S0 线上。继续提供时钟脉冲可连续读出接下的地址空间中的数据。每移出一个字节数据之后,字节地址自动增加到下一个较高地址。达到最高地址($1FFH)时,地址计数器翻转至$OOH ,无限循环下去。把CS 置为高电平,可以中止操作。2. 置位写使能锁存器操作为:/CS 先被拉到低电平;由时钟同步送入写使能指令;将/ CS 变为高电平,否则写操作忽略。3. 写数据到 X25045 的操作为:拉低/CS 并保持在低电平;发送写指令;写数据。可以连续写多达 4 个字节的数据,但必须是这 4 个字节驻留在同一页上。否则计数器将翻转到页的首地址并重新写可能已有数据写入的任何单元20。X25045 具有允许简单的三线总线工作的串行外设接口(Serial Peripheral Interface , SPI) ,最高可达 1MHz 串行时钟频率。这里用单片机的三根口线 Pl.3 、Pl.4 、Pl.5 来模拟 SPI 接口。X25045 接口电路如图 3.7 所示,P0.4 与 X25045 的串行输入脚 SI 相连,用于输出操作码、字节地址以及写入数据;P0.5 与 X25045 的串行输出引脚 SO 相连,用于读出数据;P0.6 与 X25045 串行时钟输入脚 SCK 相连,作为串行数据输入和输出的时钟信号。由于 X25045 的复位输出信号为高电平有效,故和 89C51 复位方式一致。本系统中自动报警器也将处理过的数据存入 X25045。看门狗定时器(WDO 和 WDI)位用于设置延时时间。这些非易失性的位通过 WRSR 指令来设置。本系统中采用 0.6s 的超时周期。12图 3.7 X25045 接口电路图3.4 自动拨号及语音报警电路设计3.4.1 拨号电路本系统设计的自动拨号电路可通过电话网络实现自动寻呼,对所指定的机构或人员发出求救信号,简述事故性质及地点,使救援人员采取相应措施来制止事故,系统主要功能如下:1. 报警优先功能:主机与用户电话机共用一条电话线,非报警时,不影响电话的正常使用,电话机的正常使用不影响也不干扰主机报警。主机报警时,优先拨打报警电话。2. 自动拨号功能:可设定 1-6 组电话或手机号码,每组不超过 15 位数。3. 用户对自动拨号报警系统可自行设定和修改密码。4. 可自行录制语音:语音播送,由使用者自行录制,存录“状况”(如有人闯入,失火,等),使用者的姓名,地址,电话等。自动探测通话状态:报警时自动探测对方电话机的使用状态,若对方为占线或响铃后无人接,则保留跳过,等下一轮续拨17。5. 记忆储存功能:本系统采用 X25045 为记忆元件,电话号码,报警信息存录等所有输入都不会因为电源失去而变动。3.4.1.1 MT8888 芯片简述加拿大 Mitel 公司生产的 DTMF 信号编解码芯片 MT8888 芯片,不仅具有 DTMF 信号收发功能,而且具有电话信号音检测功能。由于是采用 CMOS 制造工艺,芯片集成13度高,功耗低(只有 57.8mW) ,工作稳定可靠,因此在必须同时具备 DTMF 信号接收和发送的功能的系统中倍受人们的青睐18。另外,MT8888 可以方便与 MCS51 系列单片机接口,外围电路简单。因此,MT8888 被广泛应用于信用卡系统、寻呼系统、中继系统、移动通信、互连拨号以及个人电脑等领域。MT8888 芯片特点:1. 具有多种工作模式,可由内部控制寄存器选择,所以功能很强。如编程选择双音群 ( BURST)发送模式时,它间歇发送任意个数的双音信号,双音信号持续时间精确控制在 51ms ,符合 DTMF 信号解码标准。也可扩充为 1O2ms 双音群模式,符合电话自动拨号标准。编程选择呼叫进程检测(call programs)模式时,能检测电话信号音。2. 频率精度高,片内对双音群模式的占空时间精确定时。3.4.1.2 芯片工作原理MT8888 硬件电路由接收、发送和控制三个主要部分组成。接收电路包括信号放大、拨号音抑制滤波、输入信号的高低频带通滤波、译码及锁存等功能,用于完成 DTMF 信号的接收、分离和译码,并以 4 位(16 个数字)并行二进制码的方式输出。发送电路包括数据锁存、行列计数、D / A 转换和混频等功能。MT8888 的发送部分采用信号失真小、频率稳定性高的开关电容式 D/A 变换器,可发出 16 种双音多频 DTMF 信号。MT8888 芯片可调整双音频模式的占空比,能自动抑制拨号音和调整信号增益,还带有标准的数据总线,可与 TTL 电平兼容,并可方便地进行编程控制。接收部分:前置输入电路可以有差分输入和单端输入两种形式,如图 3.6 所示。差分输入电压增益:Avdiff=R5/Rl 差分输入阻抗: 22122 1CINDIFFRZ单端输入电压增益:Av -Rf/Rin 。元件典型值 C1= C2 = IOnF 、Rl = R4 = R5 = IOOk、R2 = 60k、R3 = 37.5k、R3=(R2 X R5) / (R2 + R5) DTMF 信号经运放输出到两组 6 阶开关电容式带通滤波器,分离出低频组 Flow 和高频组 Fhigh 信号。低频组中的陷波器把 35OHz 和 440Hz 的拨号音滤除,每组滤波器连接一阶开关电容式滤波器以提高分离信号的信噪比,由高增益比较器组成的限幅器去除低于检测门限的弱信号或噪声6。解码器采用数字计数方式检测 DTMF 信号频率,利用复杂的平均算法防止外来的各种干扰,当检测器识别到有效的 DTMF 信号时,预控端 EST 输出高电平。14发送部分:DTMF 产生器是发送部分的主体,它产生全部 16 种失真小、精度高的标准双音信号,这些频率均由 3.5795MHz 晶体振荡器产生。电路由数字频率合成器、行列可编程分频器、开关电容式 D/A 变换器组成。行和列单音正弦波经混合、滤波后产生双音信号。DTMF 编解码表把编码数据写入 MT8888 的发送寄存器产生单独的 Flow 和Fhigh,Fhigh 和 Flow dB 输出的幅度之比为 2dB ,目的在于补偿高频组信号经通信线路的衰减,即经过预加重处理。写操作时,总线上的 4 位数据被锁存,可编程分频器进行 8 中取 2 的编码变换,定时长度确定该信号的频率,当分频器达到由输入编码确定的计数值时,产生复位脉冲,计数器重新计数,改变定时长度可变频率。编码电路由开关电容式 D/A 变换器组成,得到高精度的量化电平。低噪声加法放大器完成行和列单音信号的混合。输出级有带通滤波器,用来衰减大于 8kHz 的谐波。控制部分: 前两部分为模拟信号处理系统,当满足信号条件时系统有输出。为了接收可靠,还应满足识别条件,即检测有效信号的持续时间,EST 型号驱动外接 R1,C1 积分电路,如图 3.8 所示。C1 放电,在有效时间内 EDT 维持高电平,当 VC=Vtst 时(控制逻辑的门限电平), GT 输出信号驱动 VC 至电源电压 VDD ,经延时后,控制逻辑把片内状态寄存器的延迟输出标志位置提高。图 3.8 控制电路原理图如选择中断模式,当延迟标志位置高时,IRQ/CP 引脚由高电平变为低电平,为CPU 提供中断请求信号,延迟控制电压的跳变沿把数据锁存至输出端。3.4.1.3 芯片工作方式15MT8888 通过微处理器接口由 RSO 、WR、RD 、D0-D3 选择内部寄存器,以控制电路的工作模式。它有 5 个寄存器:发送数据寄存器(TDR)、接收数据寄存器(RDR)、状态寄存器(SR)、控制寄存器 A (CRA)和控制寄存器 B (CRB)。其中 CRA 和 CRB 占用同一地址,先写 CRA ,后写 CRB ,是否写 CRB 由 CRA 的最高位控制11。MT8888 有多种工作方式,它们分别为:1. DTMF 模式:发送与接收 DTMF 信号。输入数据经 TDR 控制可编程行、列计数器、D/A 变换器,合成需要发送的 DTMF 信号。或 DTMF 信号经拨号音抑制、分离带通滤波器、监频与确认,译成相应的 4 比特码,经 RDR 输至数据总线。2. 呼叫处理(CALL)模式:电路可以检测电话呼叫过程中的各种信号音,只要信号的频率落在 32OHz-51OHz 范围内,片内呼叫处理滤波器便可滤出。经限幅得到的方波信号,由 IRQ/CP 端输出,以用于微处理器对呼叫性质和类别进行判断。若无信号滤出,则 IRQ/CP 端始终保持低电平。3. 突发(BURST)模式:在 DTMF 模式下,工作于突发状态,信号突发和暂停时间各为51ms ;在 CP 模式下,工作于突发状态,信号突发和暂停时间各为 102ms ,此时电路只可发送 DTMF 信号,但不能接收。4. 单/双音(S/D)产生模式:电路可产生单音或 DTMF 信号(由 CRB 控制),用于测试和监测。5. 测试(TEST)模式:使电路从 DTMF 接收部分得到延迟监测信号,并从 IRQ/CP 端输出。6. 中断模式:此模式下若选择状态,当 DTMF 信号被接收或出现在监测时间内,或准备发送更多数据(突发模式下)时,则 IRQ/CP 端下接至低电平。3.4.1.4 DTMF 拨号原理现在的电话机多数是双音频电话,下面就以双音频为例介绍电话拨号的原理。双音多频(DTMF)是指用两个特定的单音信号的组合来代表数字或功能。两个单音频的频率不同,所代表的数字和功能也不同。双音多频拨号方式中有 16 个按键,对应有 8种不同的单音信号,因其采用的频率有 8 种,所以称为多频,如表 3.2 所示14。从中任意抽出 2 中进行组合,又称其为 8 中取 2 的编码方法。根据国际电话电报咨询委员会(CCITT) Q.23 号建议,DTMF 选号方式选用 8 个频率,把这 8 种频率分成两个群,即高频群和低频群,其中低频群有 4 种频率:679MHz,770MHz,852MHz,941MHz,高频群也有 4 种频率:1209MHz,1336MHz,1477MHz,1633MHz。从高频群和低频群中任意各抽出一种频率进行组合,共有 16 种不同的组合,每一个键号分别对应于一种低音频和高音频的正弦波之和,代表 16 种不同的数字或功能。161029MHz 1366MHz 1477MHz 1633MHz679MHz 1 2 3 A770MHz 4 5 6 B862MHz 7 8 9 C941MHz * 0 #

    注意事项

    本文(智能防火防盗报警系统设计.doc)为本站会员(阿宝)主动上传,得力文库 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知得力文库 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于得利文库 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

    © 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

    黑龙江省互联网违法和不良信息举报
    举报电话:0468-3380021 邮箱:hgswwxb@163.com  

    收起
    展开