欢迎来到得力文库 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
得力文库 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    数字电路与数字逻辑 第4章_组合逻辑电路.ppt

    • 资源ID:67250349       资源大小:1.86MB        全文页数:93页
    • 资源格式: PPT        下载积分:16金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要16金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字电路与数字逻辑 第4章_组合逻辑电路.ppt

    第四章 组合逻辑电路的分析与设计授课教师:孙 虹1.逻辑代数(又称布尔代数)逻辑代数(又称布尔代数)本章学习重点 熟记逻辑代数的熟记逻辑代数的基本公式基本公式和和常用公式常用公式 逻辑代数的三个基本规则:逻辑代数的三个基本规则:代入规则代入规则、反演规则反演规则、对偶规则对偶规则 逻辑函数的逻辑函数的公式法化简公式法化简2.逻辑函数的逻辑函数的卡诺图化简法卡诺图化简法4.组合逻辑电路的设计方法组合逻辑电路的设计方法本章学习重点3.组合逻辑电路的分析方法组合逻辑电路的分析方法5.组合逻辑电路中产生竞争冒险现象的原因及组合逻辑电路中产生竞争冒险现象的原因及消除方法消除方法第四章 组合逻辑电路的分析与设计一一 逻辑代数逻辑代数二二 逻辑函数的卡诺图化简法逻辑函数的卡诺图化简法三三 组合逻辑电路的分析组合逻辑电路的分析四组合逻辑电路的设计四组合逻辑电路的设计五组合逻辑电路的竞争冒险五组合逻辑电路的竞争冒险返回返回一逻辑代数1.基本公式返回序号公 式 【乘】序号公 式 【加】10;1112123134145156167178189192.若干常用公式(1)证明:(2)证明:由公式1A=1由公式由公式(17):(3)证明:由公式(4)证明:由公式(7):由公式由公式1A=1(5)证明:由公式 添项得由公式1A=1同理:由公式 添项得由公式1A=1视BD为一个变量该公式说明:该公式说明:如果两个乘积项中分别包含 和 两个因子,而这两个乘积项的其余因子组成第3个乘积项时,则第3个乘积项是多余的,可以消去。(6)证明:利用摩根定理由公式同理:利用摩根定理由公式3.三个基本规则(或称基本定理)(1)代入规则在任何一个包含变量A的逻辑等式中,若以另外一个逻辑式代入式中所有A的位置,则等式仍然成立。例:已知二变量摩根定理:及将它们扩展为三变量的形式。解:以(B+C)代入前边等式中B的位置,有以BC代入前边等式中B的位置,有原式L(2)反演规则 1001逻辑变量取反运算顺序不变两变量及以上的非号不动反函数所谓运算顺序,和十进制计算一样,也遵循先括号,然后乘,最后加的规则()()例1:已知,求解:=适当加括号以保证原有运算优先关系例2:已知,求解:两变量以上的非号不动由例可见,用反演定理可以较快地得到逻辑函数的反函数。(3)对偶规则原式L 1001逻辑变量不变运算顺序不变两变量及以上的非号不动对偶式与反演规则的惟一区别适当加括号以保证原有运算优先关系()如:两变量以上的非号不动两变量以上的非号不动对偶规则的意义在于:如果两个函数相等,则它们的对偶函数也相等。利用对偶规则,可以使要证明及要记忆的公式数目减少一半。例如:4.逻辑函数的表达式一个逻辑函数的表达式常用的有以下5种表示形式:一种形式的函数表达式相应于一种逻辑电路。尽管一个逻辑函数表达式的各种表示形式不同,但逻辑功能是相同的。(1)与或表达式:(2)或与表达式:(3)与非与非表达式:(4)或非或非表达式:(5)与或非表达式:v 逻辑函数表达式的表示形式逻辑函数表达式的表示形式点击此处可以观看(1)至(2)推导过程v 逻辑函数的最简表达式逻辑函数的最简表达式逻辑函数化简的意义:逻辑函数化简的意义:逻辑表达式越简单,实现它的电路越简单,电路工作越稳定可靠。1 1最简与或表达式最简与或表达式特点:特点:表达式中乘积项最少、并且每个乘积项中的变量 也最少。最简与或表达式最简与或表达式如:根据常用公式(5)特点:特点:表达式中非号最少、并且每个非号下面乘积项中的变量也最少。2最简与非最简与非-与非表达式与非表达式如:在最简与或表达式的基础上两次取反用摩根定律去掉下面的非号最简与非与非表达式最简与非与非表达式特点:特点:表达式中括号最少、并且每个括号内相加的变量也最少。求出反函数的最简与或表达式利用反演规则写出函数的最简或与表达式3最简或与表达式最简或与表达式如:最简或与表达式最简或与表达式特点:特点:表达式中非号最少、并且每个非号下面相加的变量也最少。4最简或非最简或非-或非表达式或非表达式如:两次取反再两次取反用摩根定律去掉下面的非号用摩根定律去掉非号最简或非或非表达式最简或非或非表达式最简与或非表达式最简与或非表达式特点:特点:表达式中非号下面相加的乘积项最少、并且每个乘积项中相乘的变量也最少。求最简或非-或非表达式用摩根定律去掉大非号下面的非号 以后我们着重讨论的都是与或表达式的化简,因为与或表达式容易从真值表直接写出,且只需运用一次摩根定理就可以从最简与或表达式变换为与非与非表达式,从而可以用与非门电路来实现。如:5.逻辑函数的公式化简法逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。利用公式1,将两项合并为一项,并消去一个变量。运用分配律运用分配律1 1并项法并项法例1:1.1.并项法并项法【续续】运用摩根定律 若两个乘积项中分别包含同一个因子的原变量和反变量,而其他因子都相同时,则这两项可以合并成一项,并消去互为反变量的因子。例2:2.2.吸收法吸收法运用摩根定律()利用公式,消去多余的项。例1:例2:如果乘积项是另外一个乘积项的因子,则这另外一个乘积项是多余的。2.2.吸收法吸收法【续续】()利用公式,消去多余的变量。如果一个乘积项的反乘积项的反是另一个乘积项的因子,则这个因子是多余的。例:3.3.消去冗余项法消去冗余项法利用冗余律,将冗余项消去。例1:例2:4.4.配项法配项法()利用公式(),为某一项配上其所缺的变量,以便用其它方法进行化简。添项例:4.4.配项法配项法【续续】()利用公式,为某项配上其所能合并的项。例:例:化简函数解:先求出Y的对偶函数Y,并对其进行化简。求Y的对偶函数,便得的最简或与表达式。二逻辑函数的卡诺图化简法1.关于“最小项”返回(1)最小项定义 如果一个函数的某个乘积项包含了函数的全部变量包含了函数的全部变量,其中每个变量每个变量都以原变量或反变量的形式原变量或反变量的形式出现,且仅出现一次仅出现一次,则这个乘积项称为该函数的一个标准积项,通常称为最小项最小项。3个变量A、B、C可组成8个最小项:(2)最小项的表示方法 通常用符号mi来表示最小项。下标下标i的确定:的确定:把最小项中的原变量记为1,反变量记为0,当变量顺序确定后,可以按顺序排列成一个二进制数,则与这个二进制数相对应的十进制数,就是这个最小项的下标i。3个变量A、B、C的8个最小项可以分别表示为:(3)最小项的性质性质性质1 1:任意一个最小项,只有一组变量取值使其值为1,而在变量取其他各组值时这个最小项的值都是0。(3)最小项的性质性质性质2 2:不同的最小项,使它的值为1的那一组变量取值也不同。(3)最小项的性质性质性质3 3:任意两个不同的最小项的乘积必为0。ABCABC(3)最小项的性质性质性质4 4:全部最小项的和必为1。变量变量ABCABC取值为取值为001001情况下,各最小项之和为情况下,各最小项之和为1 1。【因为其中只有一个最小项为因为其中只有一个最小项为1 1,其余全为,其余全为0 0。】任何一个逻辑函数都可以表示成唯一的一组最小项之和,称为标准与或表达式标准与或表达式,也称为最小项表达式最小项表达式。对于不是最小项表达式的与或表达式,可利用公式AA1 和A(B+C)ABBC来配项展开成最小项表达式。(4)逻辑函数的最小项表达式例如:【表示法1】【表示法2】【表示法3】【表示法4】【表示法5】最小项的若干表示方法最小项的若干表示方法 例:将下列函数化为最小项之和的形式 添项如果列出了函数的真值表,则只要将函数值为1的那些最小项相加,便是函数的最小项表达式。已知真值表,写出函数的最小项之和的形式已知真值表,写出函数的最小项之和的形式 v 将真值表中函数值为函数值为0的那些最小项相加,便可得到反反函数函数的最小项表达式。则由真值表可得如下逻辑表达式:注意:注意:v 在n个变量的逻辑系统中,如果Y Y为为i i个个最小项之和,则必为余下的(余下的(n ni i)个)个最小项之和。(5)最小项的相邻性 任何两个最小项如果他们只有一个因子不同只有一个因子不同,其余因子其余因子都相同都相同,则称这两个最小项为相邻最小项这两个最小项为相邻最小项。显然,m0与m1具有相邻性,而 与 不相邻,因为他们有两个因子不相同。m3与m4也不相邻,而m3与m2相邻。相邻的两个最小项之和可以合并成一项,并消去一个变量。如:每每个个2变变量量的的最最小小项项有有2个个最最小小项项与与它它相相邻邻2.卡诺图化简法(1)卡诺图的构成将逻辑函数真值表中的最小项重新排列成矩阵形式,并且使矩阵的横方向和纵方向的逻辑变量的取值按照格雷码的顺序按照格雷码的顺序排列排列,这样构成的图形就是卡诺图卡诺图。每每个个3变变量量的的最最小小项项有有3个个最最小小项项与与它它相相邻邻每个每个4 4变量的最小项有变量的最小项有4 4个最小项与它相邻个最小项与它相邻最左最左列的列的最小最小项与项与最右最右列的列的相应相应最小最小项也项也是相是相邻的邻的最上面一行的最小项与最下面一行的相应最小项也是相邻的最上面一行的最小项与最下面一行的相应最小项也是相邻的(2)卡诺图的特点任意两个相邻的最小项在图中也是相邻的。任何一行或一列两端的最小项在逻辑上也相邻,即:最左列最左列的最小项和最右列最右列的相应最小项是相邻的;最上面一行最上面一行的最小项和最下面一行最下面一行的相应最小项也是相邻的;卡诺图四角上的最小项四角上的最小项也是互为相邻的最小项【注意:注意:但四角上位于对角线上的两个最小项不是相邻的!】。特别强调特别强调(3)已知逻辑函数画卡诺图v 当逻辑函数是以真值表或者以最小项表达式给出时:当逻辑函数是以真值表或者以最小项表达式给出时:m1m3m4m6m7m11m14m15 在卡诺图上那些与给定逻辑函数的最小项相对应的方格内填入1,其余的方格内填入0。例如:v 当逻辑函数以一般的逻辑表达式给出时:当逻辑函数以一般的逻辑表达式给出时:先将函数变换为与或表达式(不必变换为最小项之和的形式),然后在卡诺图上与每一个乘积项所包含的那些最小项(该乘积项就是这些最小项的公因子)相对应的方格内填入1,其余的方格内填入0。例:解:变换为与或表达式由上面变换的结果说明:说明:如果求得了函数的反函数,则对中所包含的各个最小项,在卡诺图相应方格内填入0,其余方格内填入1。填写卡诺图如下:的公因子的公因子(4)卡诺图化简的依据 利用基本公式 ,可以使相邻最小项合并,并消去一对不同因子。相邻最小项的数目必须为2n个才能合并为一项,并消去n个变量,合并后的结果只包含公共因子。(5)化简时的合并规则(6)化简的步骤 将给定的逻辑函数式化成最小项之和的形式或化成与或化成最小项之和的形式或化成与或形式形式。画卡诺图画卡诺图:凡式中包含的最小项,其对应方格填1,其余方格填0。合并最小项合并最小项:将满足2n个最小项相邻的1方格圈在一起,形成一个包围圈,对应该圈可以写成一个新的乘积项。写出最简与或表达式写出最简与或表达式:将所有包围圈对应的乘积项相加。v 画包围圈时应遵循的原则:圈内方格数必须是2n个,n=0,1,2,相邻方格包括上下底相邻、左右边相邻和四角相邻。同一方格可以被重用,但重用时新圈中一定要有新成员加入,否则新圈就是多余的。每个圈内的方格数尽可能多,圈的总个数尽可能少。注意注意:包围圈的圈法可能不惟一,因此化简结果也可能不惟一。包围圈的圈法可能不惟一,因此化简结果也可能不惟一。逻辑表达式逻辑表达式或真值表或真值表卡诺图卡诺图11例:用卡诺图将下式化简为最简与或与或式形式。圈越大越好,但每个圈中标的方格数目必须为个。冗余项2 2 不能漏掉任何一个标的方格。合并最小项同一个方格可同时画在几个圈内,但每个圈都要有新的方格,否则它就是多余的。两点说明:两点说明:在有些情况下,最小项的圈法不只一种,得到的各个乘积项组成的与或表达式各不相同,哪个是最简的,要经过比较、检查才能确定。不是最简最简 在有些情况下,不同圈法得到的与或表达式都是最简形式。即一个函数的最简与或表达式不是唯一的。(7)无关项在化简中的应用v 无关项的定义:无关项的定义:函数可以随意取值(可以为0,也可以为1)或不会出现的变量取值所对应的最小项称为无关项,也叫做约束项或随意项。v 合理利用无关项:合理利用无关项:在逻辑函数的化简中,充分利用随意项可以得到更加简单的逻辑表达式,因而其相应的逻辑电路也更简单。在化简过程中,随意项的取值可视具体情况取0或取1。具体地讲,如果随意项对化简有利,则取如果随意项对化简有利,则取1;如果随意;如果随意项对化简不利,则取项对化简不利,则取0。例如:判断一位十进制数是否为偶数。例如:判断一位十进制数是否为偶数。不会出现不会出现不会出现不会出现不会出现不会出现说说 明明 1 1 1 10 0 1 1 1 1 1 1 01 0 1 1 0 1 1 0 10 0 1 0 1 1 1 0 01 0 1 0 0 1 0 1 10 0 0 1 1 1 0 1 01 0 0 1 00 1 0 0 10 0 0 0 11 1 0 0 01 0 0 0 0Y A B C DY A B C D_ 输入变量A,B,C,D取值为00001001时,逻辑函数Y有确定的值,根据题意,偶数时为1,奇数时为0。_A,B,C,D取值为1010 1111的情况不会出现或不允许出现,对应的最小项属于无关项。用符号“”、“”或“d”表示。_无关项之和构成的逻辑表达式叫做 任意条件或约束条件,用一个值恒为 0 的条件等式表示。_ 含有约束条件的逻辑函数可以表示成如下形式:不利用随意项的化简结果为:_ 将上式化简如下:_ 含有约束条件的逻辑函数可以表示成如下形式:不利用随意项的化简结果为:利用随意项的化简结果为:_ 将上式化简如下:阶段性小结v逻辑函数的化简有公式法和卡诺图化简法等。v公式法是利用逻辑代数的公式和规则(定理)来对逻辑函数化简,这种方法适用于各种复杂的逻辑函数,但需要熟练地运用公式和规则(定理),且具有一定的运用技巧。v卡诺图化简法简单直观,容易掌握,但变量太多时卡诺图太复杂,一般说来变量个数大于等于5时该法已不适用。v在对逻辑函数化简时,充分利用无关项可以得到更为简单的结果。3.逻辑函数表示方法之间的转换(1)逻辑函数的表示方法常用的5种表示方法:真值表 逻辑表达式 卡诺图 逻辑图 波形图 逻辑图逻辑图v 逻辑图:逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。v 例如:例如:=AB+BC 波形波形图图v 波形图:波形图:是由输入变量的所有可能取值组合的高、低电 平及其对应的输出函数值的高、低电平所构成 的图形。v 例如:例如:2.逻辑函数表示方法之间的转换(1 1)由真值表到逻辑图的转换)由真值表到逻辑图的转换真值表真值表逻辑表逻辑表达式或达式或卡诺图卡诺图 1 1 最简与或最简与或表达式表达式化简 2 或 2&画逻辑图画逻辑图 3&1ABCA最简与或最简与或表达式表达式&CBBAACABACYACBBAACY&ABCABAC若用与非门实现,若用与非门实现,将最简与或表达式将最简与或表达式变换乘最简与非变换乘最简与非与非表达式与非表达式 3 (2 2)由逻辑图到真值表的转换)由逻辑图到真值表的转换逻辑图逻辑图逻辑表逻辑表达式达式 1 1 最简与或最简与或表达式表达式化化简简 2&A1CBBAAC11 2 从从输输入入到到输输出出逐逐级级写写出出最简与或最简与或表达式表达式 3 真值表真值表 3 阶段性小结v逻辑函数可用真值表、逻辑表达式、卡诺图、逻辑图和波形图5种方式表示,它们各具特点,但本质相通,可以互相转换。v对于一个具体的逻辑函数,究竟采用哪种表示方式应视实际需要而定。v在使用时应充分利用每一种表示方式的优点。由于由真值表到逻辑图和由逻辑图到真值表的转换,直接涉及到数字电路的分析和设计问题,因此显得更为重要。三组合逻辑电路的分析返回1组合逻辑电路概述1.定义输出信号只是该时刻输入信号的函数,与过去状态无关,这样的数字电路就称为组合逻辑电路。2.特点(1)电路没有记忆功能;(2)电路没有反馈支路。这就决定了组合逻辑电路由各种门电路构成。组合逻辑电路表达式组合逻辑电路表达式组合逻辑电路组合逻辑电路I0I1In-1Y0Y1Ym-1输入输出3.原理框图 2组合逻辑电路的分析过程1.组合电路分析的目的通过对给定组合电路的分析,弄清其逻辑功能。2.分析过程【步骤】(1)由逻辑电路图写出各输出端的逻辑表达式;(2)化简和变换各逻辑表达式;(3)列出真值表;(4)根据真值表和逻辑表达式对逻辑电路进行分析,最 后确定其功能。逻辑图逻辑图逻辑表逻辑表达式达式例:例:最简与或最简与或表达式表达式真值表真值表用与非门实现用与非门实现电路的输出Y只与输入A、B有关,而与输入C无关。Y和A、B的逻辑关系为:A、B中只要一个为0,Y=1;A、B全为1时,Y=0。所以Y和A、B的逻辑关系为与非运算的关系。电路的逻辑功能电路的逻辑功能四组合逻辑电路的设计1.何谓“电路设计”返回所谓“电路设计”,就是根据实际要求设计出电路来完成实际要求所提出的任务。2.设计方法【步骤】(1)根据对电路逻辑功能的要求,列出真值表;(2)由真值表写出逻辑表达式;(3)简化和变换逻辑表达式,从而画出逻辑图。组合逻辑电路的设计,通常以电路简单电路简单,所用器件最少所用器件最少为目标。电路功电路功能描述能描述路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关关灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关关灭电灯。设楼上开关为A,楼下开关为B,灯泡为Y。并设A、B闭合时为1,断开时为0;灯亮时Y为1,灯灭时Y为0。1 穷穷举举法法例:例:设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的解:解:逻辑要求:逻辑要求:在上楼前,用楼下开关(B)打开电灯(1),上楼后,用楼上开关(A)关灭电灯(0);或者在下楼前,用楼上开关(A)打开电灯(1),下楼后,用楼下开关(B)关灭电灯(0)。真值表真值表根据逻辑要求列出真值表:1 1 真值表真值表根据逻辑要求列出真值表:1 1 2 逻辑表达式逻辑表达式或卡诺图或卡诺图化化简简 3 3 已为最简与或表达式用与非门实现最简与或最简与或表达式表达式 4 逻辑变换逻辑变换 4 5 逻辑电路图逻辑电路图用异或用异或门实现门实现用与非门实现电路功电路功能描述能描述例例:用与非门设计一个举重裁判表决电路。设举重比赛有3个设主裁判为变量A,副裁判分别为B和C;表示成功与否的灯为Y。裁判同意成功设为1,不同意为0;灯亮为成功,用1表示,否则用0表示。1 穷穷举举法法裁判,一个主裁判和两个副裁判。杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。只有当两个或两个以上裁判判明成功,并且其中有一个为主裁判时,表明成功的灯才亮。解解:真值表真值表根据逻辑要求列出真值表:1 1 逻辑要求:逻辑要求:只有当两个或两个以上裁判判明成功(1),并且其中有一个为主裁判(A)时,表明成功的灯才亮(1)。2 2 逻辑表达式逻辑表达式根据逻辑要求列出真值表:1 真值表真值表 1 3 卡诺图卡诺图最简与或最简与或表达式表达式化化简简 4 3 化化简简 4 111Y=AB+AC 5 5 0 00 00 00 00 0 5 逻辑变换逻辑变换 6 逻辑电逻辑电路图路图 6 5 Y=AB+AC五组合逻辑电路中的竞争冒险2.产生原因返回 在组合电路中,当输入信号的状态改变时,输出端可能会出现不正常的干扰信号,使电路产生错误的输出,这种现象称为竞争冒险竞争冒险。主要是门电路的延迟时间门电路的延迟时间产生的。1.什么是竞争冒险现象?产生原因:产生原因:主要是门电路的延迟时间门电路的延迟时间产生的。干扰信号3.消除竞争冒险的方法有圈相切,则有竞争冒险 ABC000111100000110111Y1ABC12314&例如:例如:ABC000111100000110111增加冗余项,消除竞争冒险Y Y第3章内容总结(1)v首先介绍了逻辑代数的一些基本公式(共19个)和常用公式(共6组),以及三个基本规则:代入规则、反演规则和对偶规则,其中根据后两种规则要求会求L的反函数 及L的对偶式 v然后介绍了逻辑函数的两种化简方法:公式法和卡诺图化简法。公式法对运用公式和基本规则的熟练程度和技巧等方面要求较高。卡诺图化简法简单直观,容易掌握,但不适用于变量个数5的情况。卡诺图化简法是本章重点内容,也是本门课的重点内容之一,要求学生必须熟练准确地掌握这两种化简方法。分析分析 分析组合逻辑电路的目的是确定已知电路的逻辑功能,其步骤是:根据给定电路写出逻辑函数,并按要求化简列出真值表分析电路功能第3章内容总结(2)v接下来介绍了组合逻辑电路的分析和设计过程,它们是两个相反的过程:设计设计 应用逻辑门电路设计组合逻辑电路的步骤是:实际要求列出真值表根据所选择的器件进行化简组成逻辑电路,并画逻辑图检验功能,如不符合要求,重新设计在许多情况下,如果用中、大规模集成电路来实现组合函数,可以取得事半功倍的效果。(1)至(2)推导过程:返回返回利用公式1A1添项因为 ,添项后不变

    注意事项

    本文(数字电路与数字逻辑 第4章_组合逻辑电路.ppt)为本站会员(s****8)主动上传,得力文库 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知得力文库 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于得利文库 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

    © 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

    黑龙江省互联网违法和不良信息举报
    举报电话:0468-3380021 邮箱:hgswwxb@163.com  

    收起
    展开