欢迎来到得力文库 - 分享文档赚钱的网站! | 帮助中心 好文档才是您的得力助手!
得力文库 - 分享文档赚钱的网站
全部分类
  • 研究报告>
  • 管理文献>
  • 标准材料>
  • 技术资料>
  • 教育专区>
  • 应用文书>
  • 生活休闲>
  • 考试试题>
  • pptx模板>
  • 工商注册>
  • 期刊短文>
  • 图片设计>
  • ImageVerifierCode 换一换

    QuartusII软件使用教学教育资料完整版.doc

    • 资源ID:809458       资源大小:813KB        全文页数:11页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录   QQ登录  
    二维码
    微信扫一扫登录
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    QuartusII软件使用教学教育资料完整版.doc

    Quartus 入门教程入门教程(一个(一个 Verilog 程序的编译和功能仿真)程序的编译和功能仿真)Quartus 是 Altera 公司推出的专业 EDA 工具,支持原理图输入、硬件描述语言的输 入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数 字系统。接下来我们对这种智能的 EDA 工具进行初步的学习。使大家以后的数字系统设 计更加容易上手。第一步:打开软件快捷工具栏:提供设置(setting) ,编译(compile)等快捷方式,方便用户使用,用户也 可以在菜单栏的下拉菜单找到相应的选项。菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。快捷工具栏信息栏菜单栏工作区资源管理窗口任务管理窗口第二步:新建工程(file>new Project Wizard) 1 工程名称:2 添加已有文件(没有已有文件的直接跳过next)所建工程的保存路径工程名称顶层模块名(芯片级设计为实体名) ,要求 与工程名称相同如果有已经存在的文件 就在该过程中添加,软 件将直接将用户所添加 的文件添加到工程中。3 选择芯片型号(我们选择 MAX3000A 系列下的 EPM3256AQC208-10 芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置)4 选择仿真,综合工具(第一次实验全部利用 quartus 做,三项都选 None,然后 next)所选的芯片 的系列型号 快速搜索所需的芯片选择芯片5 工程建立完成(点finish)选择第三方综合工具,如果 使用 Quartus 内部综合工具 则选择 none选择第三方仿真工具,如果 使用 Quartus 内部仿真工具 则选择 none选择时序分析仪工程建立完成,该窗口显示所建立工程所 有的芯片,其他第三方 EDA 工具选择情况, 以及模块名等等信息。第三步:添加文件(file>new> VHDL file) ,新建完成之后要先保存。第四步:编写程序 以实现一个与门和或门为例,Verilog 描述源文件如下:module test(a,b,out1,out2); input a,b; Output out1,out2; assign out1=a assign out2=a | b; endmodule然后保存源文件;第五步:检查语法(点击工具栏的这个按钮(start Analysis & synthesis) )我们选择Verilog HDL File设计文件格式既选择Verilog 文本输入形式点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin planner)(注:如果不下载到开发板上进行测试,引脚可以不用分配)双击 location 为您的输入输出配置引脚。该窗口显示了语法检查后的详细信 息,包括所使用的 io 口资源的多 少等内容,相应的英文名大家可以 自己查阅语法检查成功,没有 error 级别以上的错误各个端口的输入输出 类型顶层某块的输入输出口与 物理的芯片端口想对应第七步:整体编译(工具栏的按钮(start Complilation) )第八步:功能仿真(直接利用 quratus 进行功能仿真) 1将仿真类型设置为功能仿真(Assignments>setting>Simulator Settings> 下拉>Function)选择为使用端 口选项卡该窗口给出综合后代码的资源使 用情况既芯片型号等等信息。2建立一个波形文件: (new>Vector Waveform File)然后导入引脚(双击 Name 下面空白区域>Node Finder>list>点击):Functional 表示功能仿真,既不包括时 序信息,timinng 表示时序仿真。加入 线及寄存器的延时信息添加波形文件作为信号输出文件, 以便观察信号的输出情况接下来设置激励信号(单击>选择>Timing>Multiplied by 1)设置 b 信号源的时候类同设置 a 信号源,最后一步改为 Multiplied by 2双击弹出右 边的对话框点击如下图添加 信号点击产生端口列表设置仿真的开始及结束时间设置输入信号周期我们自定义的输入信号然后要先生成仿真需要的网表(工具栏 processing>Generate Functional Simulation Netlist)接下来开始仿真(仿真前要将波形文件保存,点击工具栏开始仿真):观察波形,刚好符合我们的逻辑。功能仿真通过。第九步:下载(点击(Programmer),再点击 Hardware Setup 配置下载电 缆,单击弹出窗口的“Add Hardware”按钮,选择并口下载 ByteBlasterMV or ByteBlasterMV,单击“Close”按钮完成设置。CPLD 器件生成的下载文件后 缀名为.pof,点击下图所示方框,选中下载文件,然后直接点击 start 按钮开 始下载)完!由 a,b 两个信号经过我 们设计的模块产生的结果下载是该选项 必须打勾下载进度条点击该按钮 开始下载

    注意事项

    本文(QuartusII软件使用教学教育资料完整版.doc)为本站会员(一***)主动上传,得力文库 - 分享文档赚钱的网站仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知得力文库 - 分享文档赚钱的网站(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于得利文库 - 版权申诉 - 用户使用规则 - 积分规则 - 联系我们

    本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

    工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

    © 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

    黑龙江省互联网违法和不良信息举报
    举报电话:0468-3380021 邮箱:hgswwxb@163.com  

    收起
    展开