设计一个十字路口的交通灯控制系统.doc
《设计一个十字路口的交通灯控制系统.doc》由会员分享,可在线阅读,更多相关《设计一个十字路口的交通灯控制系统.doc(5页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。
1、【精品文档】如有侵权,请联系网站删除,仅供学习与交流设计一个十字路口的交通灯控制系统.精品文档.设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。问题补充: 我是要做课程设计,只要有程序就行最佳
2、答案 -交通灯控制器设计?-led显示规律:东西方向绿灯,而南北方向红灯-东西方向绿灯灭,黄灯亮,南北方向仍然红灯-/南北方向绿灯,而东西方向红灯。/library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jiaotongLED is generic(-定义灯亮的时间 east_green_cnt:integer:=40;-东西方向主干道绿灯 east_yellow_cnt:integer:=5;-东西方向主干道黄灯 south_gr
3、een_cnt:integer:=40;-南北方向支干道绿灯 south_yellow_cnt:integer:=5;-南北方向支干道黄灯 exi_cnt:integer:=120);-紧急车辆通行时间 port(clk:in std_logic; rst:in std_logic;-复位信号 exi_sign:in std_logic;-紧急车辆信号 east_green_led:out std_logic; east_yellow_led:out std_logic; east_red_led:out std_logic; south_green_led:out std_logic; so
4、uth_yellow_led:out std_logic; south_red_led:out std_logic);end jiaotongLED;architecture ex of jiaotongLED istype states is(s0,s1,s2,s3,s4);signal state1:states:=s0;signal state:states:=s0;signal cnt:integer range 0 to 150;signal save_cnt:integer;signal enable_cnt:std_logic:=0;beginu1:process(rst,clk
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 设计 一个 十字路口 交通灯 控制系统
限制150内